Posedge是什麼意思

"posedge" 是電子學和數位邏輯中常用的一個術語,特別是在描述時序邏輯電路和Verilog/VHDL等硬體描述語言的上下文中。這個詞彙是由 "positive edge" 縮寫而來,指的是一個信號的上升沿(從低電平到高電平的變化)。

在時序邏輯電路中,觸發器(flip-flop)和邏輯門的輸入往往在特定的時刻被觸發,這個時刻通常是信號的上升沿或下降沿。"posedge" 用來描述那些在信號上升沿觸發的電路元件。例如,一個標記為 "posedge Clock" 的電路或語句會在時鐘信號從低電平轉變為高電平的時刻執行特定的操作。

在Verilog和VHDL等硬體描述語言中,"posedge" 可以用來定義觸發條件或時序邏輯。例如,以下是一個簡單的Verilog例子:

always @(posedge Clock)
begin
    // 電路在時鐘上升沿執行的代碼
end

這個例子中的 "always" 陳述句會在標記為 "Clock" 的信號上升沿執行其內部的代碼。

總結來說,"posedge" 指的是信號從低電平到高電平的變化,通常用來定義時序邏輯電路中操作的觸發條件。